Intel rolls out its first 4nm chip

https://www.anandtech.com/show/16960/intel-loihi-2-intel-4nm-4
https://www.intel.com/content/www/u...veils-neuromorphic-loihi-2-lava-software.html

Not for me, but it looks cool.

There is some debate on whether or not it is a "true" 4nm process but whatever you want to call it it is competitive up against TSMC's N5
The nm spec is just a marketing term and has been for a long time. What is important is that Intel's process has always been tighter when compared to TSMC's using the same or similar marketing spec. You want to compare transistor densities, but you can also look at the minimum metal pitch (MMP) and contacted gate pitch (CPP). I'm sure that since this is still in the research stages that Intel doesn't want to publish those numbers yet.

That all being said, Intel has actually dropped the nm from their marketing and are just using the comparative number in their naming scheme. Intel's new "Intel 7" CPU coming out soon is actually the first high powered desktop part using their 10nm process. It is named "Intel 7" because Intel is saying it's comparable to competitor's 7nm process, which it is.

1633368114456.png


So Intel is saying this "Intel 4" process will be comparable to the competitor's 4nm process. Intel 4 is actually Intel's 7nm process, not 4nm.

1633368350163.png
 
https://www.anandtech.com/show/16960/intel-loihi-2-intel-4nm-4
https://www.intel.com/content/www/u...veils-neuromorphic-loihi-2-lava-software.html

Not for me, but it looks cool.

There is some debate on whether or not it is a "true" 4nm process but whatever you want to call it it is competitive up against TSMC's N5

Yeah, even Intel has caved to the fake marketing numbers to describe their nodes now. Can't blame them. The others (TSMC, Samsung, GloFo) have all been doing it for over a decade. At some point if everyone else is using make believe numbers and you aren't, I'd imagine it gets tiring and costs you market share.
 
Yeah, even Intel has caved to the fake marketing numbers to describe their nodes now. Can't blame them. The others (TSMC, Samsung, GloFo) have all been doing it for over a decade. At some point if everyone else is using make believe numbers and you aren't, I'd imagine it gets tiring and costs you market share.
Intel's 22nm process was the first one that did not reflect the half pitch of the process. The space between the gates has become more important as processes continue to shrink in the era of FinFET. I think everybody got caught up in the old scheme and decided that the terminology needed to continue to express progress from the prior node. For Intel's part, the number of their process going forward always represented the shrinkage of the MMP from one process to the next.

Ex. the MMP on 14nm was 1.54x smaller than 22nm.
14 * 1.54 ≈ 22.
 
So you are saying AMD is not 7nm? I have not heard this argument before. Though I can certainly believe the measurements are sketchy…I mean it’s worked well for storage companies.

This article seems to say we aren’t measuring it right.
https://www.pcgamer.com/chipmaking-process-node-naming-lmc-paper/

Although this sounds a little like all non nuclear capable countries agreeing there should be a nuclear ban. Until they get nuclear. Measure differently until I measure good.
 
So you are saying AMD is not 7nm? I have not heard this argument before. Though I can certainly believe the measurements are sketchy…I mean it’s worked well for storage companies.

This article seems to say we aren’t measuring it right.
https://www.pcgamer.com/chipmaking-process-node-naming-lmc-paper/

Although this sounds a little like all non nuclear capable countries agreeing there should be a nuclear ban. Until they get nuclear. Measure differently until I measure good.
The name of a node and it’s actual size haven’t really lined up since 35nm it’s all arbitrary at this point. And it’s why they are all dropping the “nm” from the node names. N7, N5p and such. They couldn’t agree on a standard naming convention so after years of bickering they are all just dropping it.
 
So you are saying AMD is not 7nm? I have not heard this argument before. Though I can certainly believe the measurements are sketchy…I mean it’s worked well for storage companies.

This article seems to say we aren’t measuring it right.
https://www.pcgamer.com/chipmaking-process-node-naming-lmc-paper/

Although this sounds a little like all non nuclear capable countries agreeing there should be a nuclear ban. Until they get nuclear. Measure differently until I measure good.
Simply saying 7nm doesn't represent anything in the manufacturing process. I agree with the paper PC Gamer references in that transistor density should be the measure we use. You can see the chart I posted above that compared transistor density between TSMC, Samsung and Intel. It shows that 7nm from TSMC and Samsung, and 10nm from Intel are all competitive with each other. That and power efficiency are all that should matter in the conversation.
 
While not a completely analogous comparison, this reminds me of Cyrix/AMDs PR ratings to Intel back in day... but this time Intel is the follower. (adjusting marketing to match competitor who has perceived edge.)
 
It shows that 7nm from TSMC and Samsung, and 10nm from Intel are all competitive with each other.
Wasn't that one of the reasons intel stumbled on 10nm? I know someone here mentioned a while back they may have had to lower the density to make 10nm work, but whoever it was didn't have a citation at the time.
 
https://www.anandtech.com/show/16960/intel-loihi-2-intel-4nm-4
https://www.intel.com/content/www/u...veils-neuromorphic-loihi-2-lava-software.html

Not for me, but it looks cool.

There is some debate on whether or not it is a "true" 4nm process but whatever you want to call it it is competitive up against TSMC's N5

Is there any such thing as "true" nm? As far as i know theres no standard for measuring them as all the fabs sem to have their own methods for claiming a chip is a certain size. ie one fabs 14nm is closer to another fabs 10nm etc.
 
Is there any such thing as "true" nm? As far as i know theres no standard for measuring them as all the fabs sem to have their own methods for claiming a chip is a certain size. ie one fabs 14nm is closer to another fabs 10nm etc.
And that's why a consumer shouldn't care about the process node, at all. They should care about the device performance, energy draw (and thus when mobile, battery life), etc.

They understand and can measure those things, and that's what matters. If someone made a 28nm chip that outclassed everything else, it would still be awesome.
 
Back
Top