ASML's Ex-Employee in China Allegedly Stole Confidential Information

erek

[H]F Junkie
Joined
Dec 19, 2005
Messages
10,875
Is beyond comprehension

We have experienced unauthorized misappropriation of data relating to proprietary technology by a (now) former employee in China. We promptly initiated a comprehensive internal review. Based upon our initial findings we do not believe that the misappropriation is material to our business. However, as a result of the security incident, certain export control regulations may have been violated. ASML has therefore reported the incident to relevant authorities. We are implementing additional remedial measures in light of this incident.

Source: https://www.techpowerup.com/304900/...hina-allegedly-stole-confidential-information
 
notsurprisedkirk.jpg
 
more about the ASML UV lithography machine.

Not 100% there is always MKS Instruments, Lam Research, Ultratech, Cadence Design Systems and ASM International, but ASML is the only company currently doing anything with NGL, or as they call it EUV which is their 11-14nm machines.
Which for the record is what TSMC uses for their 3nm process, so if you are wondering yes that means their 3nm and Intels 4 process are technically actually 11nm at best, so yay for naming conventions that mean nothing!
 
i thought i read somewhere that TSMC 4nm was part of the 5nm node and yeah technically not 4nm but they, for whatever reason, didn't want to call it N4.8? and thought tsmc had their own proprietary tech that's more advanced and than any one else's and being that's how they make their money, they keep it a highly guarded secret? but i could be wrong.
 
Not 100% there is always MKS Instruments, Lam Research, Ultratech, Cadence Design Systems and ASM International, but ASML is the only company currently doing anything with NGL, or as they call it EUV which is their 11-14nm machines.
Which for the record is what TSMC uses for their 3nm process, so if you are wondering yes that means their 3nm and Intels 4 process are technically actually 11nm at best, so yay for naming conventions that mean nothing!
I think technically there is no such thing as a single number that describes a lithography process any more.
Choose what you are measuring (a particular linear dimension like gate length? or pitch? or measure sram density?)

https://en.wikichip.org/wiki/28_nm_lithography_process
https://en.wikichip.org/wiki/7_nm_lithography_process

Also what is that range measurement in 11-14nm for the EUV machines? The source wavelength (13.5nm tin for EUV?) Or something else?
 
I think technically there is no such thing as a single number that describes a lithography process any more.
Choose what you are measuring (a particular linear dimension like gate length? or pitch? or measure sram density?)

https://en.wikichip.org/wiki/28_nm_lithography_process
https://en.wikichip.org/wiki/7_nm_lithography_process

Also what is that range measurement in 11-14nm for the EUV machines? The source wavelength (13.5nm tin for EUV?) Or something else?
Yeah, the boundaries of the UV light will put it from 11-14 and the physical photons they emit will average 13.5nm with some ridiculously small margin of error
But anyways the ASML advancements are made in how much of the spectrum their lasers actually emit, their CO2 + Sn Plasma lasers are beautiful works of engineering, and their optics polishing tech is more so.
I was very curious how Intel and TSMC were going to meet the EPA laws in the US regarding Hydrogen emissions because the systems they have to use at that size, Nitrogen, and Oxygen are physically large enough to make the process fail so they need to first remove all of that from the chamber using Hydrogen, then suck all that Hydrogen out to create a vacuum, and Hydrogen is considered pretty bad on its own but turns out they developed a whole scrubbing tech for that too and damned... They got this covered.
 
Yeah, the boundaries of the UV light will put it from 11-14 and the physical photons they emit will average 13.5nm with some ridiculously small margin of error
Yeah, but if I'm understanding you correctly then I would argue that you can't say the following:
or as they call it EUV which is their 11-14nm machines.
Which for the record is what TSMC uses for their 3nm process, so if you are wondering yes that means their 3nm and Intels 4 process are technically actually 11nm at best, so yay for naming conventions that mean nothing!
Because using that reasoning everything before EUV was all 193 nm process since all of the high end processes such 10nm, 14nm, 28nm, etc etc nodes used a 193nm source.
 
Yeah, but if I'm understanding you correctly then I would argue that you can't say the following:

Because using that reasoning everything before EUV was all 193 nm process since all of the high end processes such 10nm, 14nm, 28nm, etc etc nodes used a 193nm source.
Not quite the ASML 3300B and 3350B are what Intel used for its 14 nm through 14+++++++++nm process and it ranged from 22nm down to 16nm towards the end. ASML's precision work comes from how much they have managed to narrow down the EV spectrum, the first lasers in the 1960s were using a 435nm mercury laser for carving out ICs, it's all about tightening up the very small usable portion of the spectrum too as narrow as they can get it and focusing it as tightly as they can, which is where their lenses come in. But the point stands the numbers matter but the names are made up and nothing means anything.
 
Last edited:
Not quite the ASML 3300B and 3350B are what Intel used for its 14 nm through 14+++++++++nm process and it ranged from 22nm down to 16nm towards the end. ASML's precision work comes from how much they have managed to narrow down the EV spectrum, the first lasers in the 1960s were using a 435nm mercury laser for carving out ICs, it's all about tightening up the very small usable portion of the spectrum too as narrow as they can get it and focusing it as tightly as they can, which is where their lenses come in. But the point stands the numbers matter but the names are made up and nothing means anything.
Hmm? Intel 10nm and 14nm are 193nm lithography.

https://en.wikichip.org/wiki/10_nm_lithography_process
https://en.wikichip.org/wiki/14_nm_lithography_process

Are you saying they used 13.5nm EUV for intel 10nm and intel 14nm? Do you have some sources of info for this I can read?
 
Hmm? Intel 10nm and 14nm are 193nm lithography.

https://en.wikichip.org/wiki/10_nm_lithography_process
https://en.wikichip.org/wiki/14_nm_lithography_process

Are you saying they used 13.5nm EUV for intel 10nm and intel 14nm? Do you have some sources of info for this I can read?
Intel used the ASML 3300B at the start of their 14nm stage, which at release was 22nm, as time went on it was tightened up to 18nm with the replacement of the lasers and lenses which as burnt up over time as they get used. The 3350B is what Intel uses currently for their 14nm and 10nm process and ASML advertises that as a 16nm photon, with some sort of magic mumbo jumbo and angles.

I don’t know what Intel uses for their 7 node but I do know it is not the 13.5nm equipment from ASML because Intel 4 is the first node to use the EUV hardware. So their 7nm is probably some of the 3350B’s magic angles and voodoo shanagans.

https://www.asml.com/en/news/press-...n-to-drive-high-na-into-manufacturing-in-2025

So as long as ASML remains on schedule Intel will have their newest and greatest fabrication hardware up and running before ASML can start making their deliveries to TSMC.
 
Last edited:
Intel used the ASML 3300B at the start of their 14nm stage, which at release was 22nm, as time went on it was tightened up to 18nm with the replacement of the lasers and lenses which as burnt up over time as they get used. The 3350B is what Intel uses currently for their 14nm and 10nm process and ASML advertises that as a 16nm photon, with some sort of magic mumbo jumbo and angles.

I don’t know what Intel uses for their 7 node but I do know it is not the 13.5nm equipment from ASML because Intel 4 is the first node to use the EUV hardware. So their 7nm is probably some of the 3350B’s magic angles and voodoo shanagans.

https://www.asml.com/en/news/press-...n-to-drive-high-na-into-manufacturing-in-2025

So as long as ASML remains on schedule Intel will have their newest and greatest fabrication hardware up and running before ASML can start making their deliveries to TSMC.
I either disagree with a lot of your posts in this thread, or am misunderstanding what you are saying. EIther way I guess I've probably derailed this thread enough, so I'll stop.
 
I either disagree with a lot of your posts in this thread, or am misunderstanding what you are saying. EIther way I guess I've probably derailed this thread enough, so I'll stop.
I’m probably not explaining it well, but there’s no good way to that I know of. But Intel until now has not been using EUV processing which TSMC has been using since 7nm.
 
according to this https://www.asml.com/en/news/stories/2022/making-euv-lab-to-fab the light wavelength the EUV machines use is 13.5 nm but i still can't find anything saying that TSMC's 5nm (N5,N4) node is actually producing 11-14 nm chips. unless that's how they rate them but i thought company x's nm node was suppose to be refereeing to the spacing between transistors.

and it's common knowledge that intel is behind TSMC (amd) on their nm process and whether that matters, in regards to performance, is debatable. correct me if i'm wrong.

serpretetsky Lakados
 
Last edited:
according to this https://www.asml.com/en/news/stories/2022/making-euv-lab-to-fab the light wavelength the EUV machines use is 13.5 nm but i still can't find anything saying that TSMC's 5nm (N5,N4) node is actually producing 11-14 nm chips. unless that's how they rate them but i thought company x's nm node was suppose to be refereeing to the spacing between transistors.

and it's common knowledge that intel is behind TSMC (amd) on their nm process and whether that matters, in regards to performance, is debatable. correct me if i'm wrong.

serpretetsky Lakados
Yeah point is Intel, TSMC, Samsung, and ASML all seem to use different metrics to determine the actual size of the nodes. So don’t pay attention to it. But Intel’s purchase of the next 2 years supply of ASML’s latest and greatest 5500 EUV units is how they finally pass TSMC in the race because TSMC can’t physically take delivery of similarly classed equipment until some time in 2025 with them getting online in 2026.
 
Back
Top